산업 제조
산업용 사물 인터넷 | 산업자재 | 장비 유지 보수 및 수리 | 산업 프로그래밍 |
home  MfgRobots >> 산업 제조 >  >> Industrial programming >> VHDL

첫 번째 VHDL 프로그램을 만드는 방법:Hello World!

새로운 프로그래밍 언어를 배울 때 저는 항상 인쇄하는 방법을 배우는 것으로 시작하는 것을 좋아합니다. "Hello World!" 출력을 마스터하면 환경이 작동하고 있음을 알 수 있습니다. 또한 언어의 기본 골격, 출력을 생성하는 데 필요한 최소한의 코드도 보여줍니다.

라고 생각할 수도 있지만 VHDL은 하드웨어 설명 언어인데 어떻게 텍스트를 출력할 수 있습니까? FPGA에 연결된 화면이 필요하고 그 사이에 모든 종류의 로직이 필요하며 이는 전혀 간단하지 않습니다. 이 모든 것이 사실이지만 FPGA와 ASIC은 잠시 잊고 VHDL 언어에 집중합시다.

이 블로그 게시물은 기본 VHDL 자습서 시리즈의 일부입니다.

VHDL은 병렬 프로그래밍 언어로 생각할 수 있으므로 이 프로그래머의 접근 방식을 사용하여 학습할 수 있습니다. 우리는 언어를 사용하여 디지털 회로를 설명하기 때문에 컴퓨터에서 실행할 수 있는 유일한 방법은 시뮬레이터를 사용하는 것입니다. , 그리고 시뮬레이터는 확실히 "Hello World!"를 출력할 수 있습니다. 화면으로 이동합니다.

VHDL 시뮬레이터 및 편집기를 무료로 설치하는 방법

운동

이 비디오 자습서에서는 첫 번째 VHDL 프로그램을 만드는 방법을 보여줍니다.

우리가 만든 최종 코드:

entity T01_HelloWorldTb is
end entity;

architecture sim of T01_HelloWorldTb is
begin

    process is
    begin

        report "Hello World!";
        wait;

    end process;

end architecture;

ModelSim에서 실행 버튼을 눌렀을 때 시뮬레이터 콘솔에 대한 출력:

VSIM 2> run
# ** Note: Hello World!
#    Time: 0 ns  Iteration: 0  Instance: /t01_helloworld

분석

처음 두 줄에서 entity를 선언했습니다. . 모듈의 엔터티는 입력과 출력을 선언합니다. 시뮬레이터에서 모듈을 실행할 수 있으려면 입력 또는 출력이 없어야 합니다. 따라서 우리 모듈에는 빈 엔티티 선언 외에는 아무것도 없습니다.

다음으로 아키텍처를 선언했습니다. 모듈의. 엔티티가 외부 세계에 대한 모듈의 인터페이스인 반면 아키텍처는 내부 구현입니다. 모듈에는 동일한 엔터티와 함께 ​​사용할 수 있는 여러 아키텍처가 있을 수 있습니다. 고급 VHDL 기능이므로 이 시점에서 크게 걱정하지 않겠습니다.

아키텍처 내부에서 프로세스를 선언했습니다. . 현재로서는 프로세스를 프로그램의 스레드로 생각할 수 있습니다. 여기서 일이 순차적으로 발생합니다.

프로세스 내에서 "Hello World!"를 인쇄합니다. report 사용 예어. 다음 줄에는 단일 wait;이 있습니다. . 시뮬레이터가 이 라인에 도달하면 더 이상 아무 일도 일어나지 않습니다. 프로세스는 여기서 영원히 기다립니다.

ModelSim에서 이 디자인을 시뮬레이션했을 때 "Hello World!" 콘솔 출력에 인쇄되었습니다. 그 후에는 아무 일도 일어나지 않았습니다.

테이크아웃

다음 튜토리얼로 이동 »


VHDL

  1. 튜토리얼:첫 번째 FPGA 프로그램:LED 깜박임
  2. C# Hello World - 첫 번째 C# 프로그램
  3. 자바 Hello World 프로그램
  4. VHDL에서 문자열 목록을 만드는 방법
  5. VHDL 코드 잠금 모듈을 위한 Tcl 기반 테스트벤치를 만드는 방법
  6. VHDL에서 PWM 컨트롤러를 만드는 방법
  7. VHDL에서 링 버퍼 FIFO를 만드는 방법
  8. VHDL에서 연결 목록을 만드는 방법
  9. VHDL의 프로세스에서 프로시저를 사용하는 방법
  10. 프로토타입이 제조 프로세스를 개선하는 방법