산업 제조
산업용 사물 인터넷 | 산업자재 | 장비 유지 보수 및 수리 | 산업 프로그래밍 |
home  MfgRobots >> 산업 제조 >  >> Industrial Internet of Things >> 임베디드

Synopsys는 통합 회로 시뮬레이션 흐름으로 하이퍼 컨버전트 IC를 처리합니다.

여러 구성 요소와 기술이 초융합 집적 회로(IC)에 결합되면서 칩 설계가 점점 더 복잡해짐에 따라 시스템을 분석하는 단일 시스템 접근 방식은 복잡성을 단순화하는 논리적 방법이 될 것입니다. Synopsys는 메모리, 인공 지능(AI), 자동차 및 5G 애플리케이션을 위한 오늘날의 이기종 아키텍처 칩의 복잡성과 규모를 모두 처리하기 위해 통합 회로 시뮬레이션 워크플로인 PrimeSim Continuum으로 이 문제를 해결하고 있습니다.

SNUG World 국제 사용자 컨퍼런스에서 출시된 PrimeSim Continuum은 PrimeSim SPICE, PrimeSim Pro, PrimeSim HSPICE 및 PrimeSim XA를 포함한 시뮬레이션 엔진으로 구성된 올인원 솔루션입니다. 이 설계 환경은 포괄적인 분석, 향상된 생산성 및 사용 편의성을 통해 모든 PrimeSim 엔진에 대한 원활한 시뮬레이션 경험을 제공합니다. 이는 Synopsys 맞춤형 디자인 플랫폼의 기초를 형성합니다.

오늘날의 초 수렴형 시스템 온 칩(SoC)은 동일한 다이 또는 패키지에 통합된 개별 구성 요소로 구성됩니다. 여기에는 더 크고 더 빠른 임베디드 메모리, 아날로그 프론트 엔드 장치 및 100Gb+ 데이터 속도로 통신하는 복잡한 I/O 회로가 포함될 수 있습니다. 이 다양한 아날로그, 디지털 및 혼합 신호 구성 요소 세트는 일부가 서로 다른 프로세스 노드에 구축되고 2.5D 또는 3D 아키텍처를 사용하여 수직으로 통합될 수도 있으므로 훨씬 더 복잡합니다.

고급 기술 프로세스 노드가 증가된 기생, 프로세스 가변성 및 감소된 마진을 나타내면서 이러한 복잡한 설계 검증과 관련된 이러한 문제는 확장됩니다. 결과적으로 더 긴 런타임과 더 높은 정확도로 더 많은 시뮬레이션이 생성되어 결과에 대한 전체 시간, 결과 품질 및 결과 비용에 영향을 줍니다.

Synopsys의 제품 관리 그룹 이사인 Hany Elhak은embedded.com과의 인터뷰에서 “이 문제를 해결하려면 통합 워크플로를 갖춘 시뮬레이션 엔진 시스템이 필요합니다. 현재 모든 것을 처리할 수 있는 SPICE 시뮬레이터는 없습니다.” 그는 IC 설계의 시스템적 복잡성과 규모의 복잡성을 모두 다룰 필요가 있다고 말했습니다.

이것이 PrimeSim Continuum이 해결하고자 하는 것입니다. 아날로그, 혼합 신호, RF, 맞춤형 디지털 메모리 설계에 맞게 조정된 사인오프 품질 시뮬레이션 엔진의 통합 워크플로우를 통해 이러한 하이퍼 수렴 설계의 시스템적 복잡성을 해결합니다. PrimeSim Continuum은 차세대 SPICE 및 FastSPICE 아키텍처와 이기종 컴퓨팅을 사용하여 CPU 및 GPU 리소스 사용을 최적화하고 결과 도출 시간과 결과 비용을 개선합니다.

복잡한 설계의 회로 시뮬레이션 요구 사항의 예로 3DIC 또는 SiP의 SoC와 통합된 대형 3D 스택 DRAM으로 구성된 고대역폭 메모리(HBM)의 출현을 고려하십시오. 3D 스택 동기식 DRAM(SDRAM)용 고속 메모리 인터페이스를 제공하는 HBM은 고성능 데이터 센터 및 네트워크 장치에서 고성능 그래픽 가속기, AI ASIC 및 FPGA와 함께 사용됩니다. 이러한 메모리 칩에서 여러 DRAM 다이는 메모리 컨트롤러와 수직으로 적층되며, 모두 실리콘 인터포저의 TSV(실리콘 관통 비아)와 마이크로범프에 의해 상호 연결됩니다.

설계자는 SiP에 있는 전체 메모리 하위 시스템을 확인해야 합니다. 즉, 구성 요소 및 하위 시스템 수준에서 복잡한 다차원 분석을 수행해야 합니다. 전력 및 성능 목표를 달성하기 위해 해결해야 하는 새로운 복잡성과 함께 어렵고 더 엄격한 제약 조건이 있습니다. 회로 시뮬레이션 도구는 다음을 지원할 수 있어야 합니다.

<울>
  • 여러 기술 및 여러 구성요소(로직, 아날로그, 메모리, I/O) 분석
  • 다양한 분석 유형(아날로그, 디지털, 혼합 신호)
  • 하위 시스템 및 칩 수준 분석을 위한 대용량
  • 고급 신뢰성 분석(전기, 열, 전열, 시간)
  • 신호 무결성
  • 변동성 분석(프로세스, 구조)
  • 또한 이러한 설계가 고급 기술 노드로 확장됨에 따라 설계가 신뢰할 수 있고 수율 목표를 충족하는지 확인하기 위한 시뮬레이션이 크게 증가합니다. 예를 들어 인터포저를 통해 분석해야 하는 신호 무결성 측정이 문제입니다. 대규모 제조에 필요한 칩 신뢰성을 강화하려면 전열 응력 및 더 큰 기생 물질과 같은 문제를 해결해야 합니다.

    설계 지원의 관점에서 이것은 전력, 성능, 면적(PPA) 및 비용 수렴에 최적화된 워크플로를 요구하는 다차원적 문제를 나타냅니다.

    Synopsys의 최고 운영 책임자인 Sassine Ghazi는 “PrimeSim Continuum은 GPU/CPU에서 이기종 컴퓨팅 가속화를 통해 회로 시뮬레이션 혁신의 혁신적인 돌파구를 제시하여 EDA 솔루션의 새로운 기준을 제시합니다. 모든 설계 부문의 고객은 이제 당사의 현대적인 맞춤형 설계 플랫폼 및 검증 연속체를 보완하는 PrimeSim Continuum 차세대 기술을 통한 수년간의 R&D 투자, 혁신 및 고객 협업의 혜택을 누릴 수 있습니다.”

    Elhak은 Kioxia가 플래시 메모리가 매우 복잡한 시스템인 새로운 솔루션의 모든 측면을 사용하는 조기 액세스 고객의 한 예라고 말했습니다. Kioxia 메모리 설계는 다양한 설계 및 사인오프 기술이 필요한 메모리, 아날로그, 혼합 신호 및 맞춤형 디지털 블록으로 구성된 복잡한 시스템을 통합합니다.

    Kioxia의 SSD 애플리케이션 엔지니어링 기술 책임자인 Shigeo(Jeff) Ohshima는 다음과 같이 말했습니다. Synopsys의 PrimeSim Continuums는 최고의 SPICE 및 FastSPICE 기술을 통합하여 복잡한 설계에 정확성, 속도 및 용량을 제공하는 올인원 솔루션입니다. PrimeWave 설계 환경은 모든 시뮬레이션 분야에 걸쳐 공통 워크플로우를 제공하여 Kioxia의 메모리 설계 승인을 가능하게 합니다. 효과적인 협업과 차세대 기술에 대한 액세스는 Synopsys와의 파트너십의 기본입니다.”

    성능 가속화를 위한 PrimeSim Pro

    PrimeSim Continuum의 일부인 Synopsys PrimeSim Pro 시뮬레이터는 최신 DRAM 및 플래시 메모리 설계의 고속 대용량 분석을 위한 차세대 FastSPICE 아키텍처를 나타냅니다.

    DRAM 아키텍처에 대한 지속적인 기술 확장과 혁신으로 인해 더 높은 시뮬레이션 성능과 용량이 필요한 더 크고 복잡한 메모리 설계가 되었습니다. 최정윤 삼성전자 메모리 디자인 기술팀 상무에 따르면, "기록적인 FastSPICE 시뮬레이터 계획의 차세대인 Synopsys PrimeSim Pro는 전체 칩 전력 공급 네트워크 설계에서 최대 5배의 성능 가속을 제공할 수 있습니다. PrimeSim Pro 차세대 아키텍처는 고급 메모리 설계의 용량 요구 사항을 충족하고 공격적인 결과 달성 시간 목표를 달성할 수 있도록 합니다.”

    Nvidia 파트너 및 고객

    Nvidia의 GPU 기술을 사용하는 Synopsys PrimeSim SPICE 시뮬레이터의 차세대 아키텍처는 사인오프 정확도 요구 사항을 충족하면서 아날로그 및 RF 설계에 대한 포괄적인 분석을 수행하는 데 필요한 상당한 성능 향상을 제공합니다.

    Nvidia의 혼합 신호 설계 부사장인 Edward Lee는 "현대적인 컴퓨팅 워크로드가 발전함에 따라 아날로그 설계의 크기와 복잡성이 기존 회로 시뮬레이터의 용량을 넘어섰습니다. "NVIDIA GPU를 사용하면 PrimeSim SPICE가 회로 시뮬레이션을 가속화하여 아날로그 블록의 사인오프 시간을 며칠에서 몇 시간으로 최소화할 수 있습니다."

    삼성전자 파운드리 설계 플랫폼 개발 총괄 박재홍 상무는 “고급 공정 노드로 설계 복잡성이 증가함에 따라 검증 및 분석 주기를 단축할 수 있는 혁신적인 시뮬레이션 기술로 상호 고객을 지원하기 위해 최선을 다하고 있다”고 말했다. "고급 시뮬레이션 엔진의 통합 워크플로우를 갖춘 Synopsys PrimeSim Continuum은 최근 56Gbit 이더넷 설계에서 이기종 컴퓨팅 가속을 사용하여 황금 SPICE 정확도로 10배 속도 향상을 제공하여 검증 노력을 며칠에서 몇 시간으로 단축했습니다."

    분석 및 승인을 위한 통합 워크플로

    PrimeSim Continuum 솔루션은 PrimeSim SPICE 및 PrimeSim Pro를 PrimeSim HSPICE 시뮬레이터, 기초 IP 및 신호 무결성을 위한 표준 사인오프 참조, PrimeSim XA 시뮬레이터, SRAM 및 혼합 신호 검증을 위한 FastSPICE 기술을 통합합니다. PrimeWave는 설계 설정, 분석 및 후처리를 최적화하는 모든 PrimeSim Continuum 엔진에서 일관되고 유연한 환경을 제공하여 원활한 경험을 제공합니다.


    임베디드

    1. 전기 회로의 컴퓨터 시뮬레이션
    2. 회로도가 있는 센서 유형
    3. Synopsys는 HBM3 IP 및 검증을 통해 다중 다이 설계를 가능하게 합니다.
    4. Sensirion:액체 흐름 센서가 통합된 웨어러블 약물 전달 IoT 플랫폼
    5. ST:저비용 SO-8 패키지에 풍부한 아날로그 및 DMA가 포함된 8비트 MCU
    6. Allegro:ASIL B 인증을 받은 고급 전송 속도 센서 IC
    7. TDK:자동차용 확장 메모리가 있는 완전 통합 임베디드 모터 컨트롤러
    8. Renesas:산업용 애플리케이션을 위한 EtherCAT 지원 기능이 있는 RX72M MCU
    9. 방위용 FPGA가 조기 액세스로 데뷔
    10. Kontron:최대 8GB LPDDR4 메모리가 다운된 SMARC-sXAL4(E2) 모듈