VHDL
이전 튜토리얼에서 wait for
를 사용하여 시간을 지연시키는 방법을 배웠습니다. 성명. 프로세스 루프에 대해서도 배웠습니다. 이제 허용하면 프로세스 "스레드"가 프로세스 내에서 영원히 반복된다는 것을 알고 있습니다.
그러나 프로세스의 시작 부분에서 한 번만 무언가를 하고 싶다면 어떻게 해야 할까요? 그런 다음 끝에 다른 코드를 반복합니까? VHDL에서 가장 단순한 종류의 루프는 loop
을 사용하여 생성할 수 있습니다. 성명서.
이 블로그 게시물은 기본 VHDL 자습서 시리즈의 일부입니다.
단순 루프의 구문은 다음과 같습니다.
loop
end loop;
이러한 루프는 무한정 또는 exit;
까지 계속됩니다. 가 발생합니다. exit
문을 사용하여 모든 루프에서 벗어날 수 있습니다.
이 비디오 자습서에서는 간단한 루프를 만드는 방법과 루프에서 벗어나는 방법을 알려줍니다.
이 튜토리얼에서 만든 최종 코드:
entity T03_LoopTb is end entity; architecture sim of T03_LoopTb is begin process is begin report "Hello!"; loop report "Peekaboo!"; exit; end loop; report "Goodbye!"; wait; end process; end architecture;
ModelSim에서 실행 버튼을 눌렀을 때 시뮬레이터 콘솔에 대한 출력:
VSIM 2> run # ** Note: Hello! # Time: 0 ns Iteration: 0 Instance: /t03_looptb # ** Note: Peekaboo! # Time: 0 ns Iteration: 0 Instance: /t03_looptb # ** Note: Goodbye! # Time: 0 ns Iteration: 0 Instance: /t03_looptb
시뮬레이터에서 최종 코드를 실행할 때 첫 번째 "Hello!" ModelSim 콘솔에 인쇄되었습니다. 이어 "피카부!" loop;
사이 및 end loop;
인쇄되었습니다. 다음 줄에서 프로그램은 exit;
를 누르십시오. 문으로 인해 프로그램이 루프에서 벗어나게 됩니다. 마지막으로 "굿바이!" 인쇄되었습니다. 이 후에는 프로그램이 wait;
에서 영원히 일시 중지되기 때문에 아무 일도 일어나지 않습니다. 성명서.
인쇄물의 타임스탬프에서 모든 것이 0ns 시뮬레이션 시간에 발생했음을 알 수 있습니다. 이전 튜토리얼에서 배웠듯이 wait
이외의 모든 것은 명령문은 제로 시간을 소비합니다.
loop
문은 무한 루프를 구현합니다.exit
문은 모든에서 벗어날 것입니다. 루프다음 튜토리얼로 이동 »
VHDL
수많은 Arduino 프로젝트를 실행합니까? 그리고 엄청난 양의 중요한 정보와 로그 데이터를 저장해야 합니까? 해결책은 Arduino SD 카드를 사용하는 것입니다. 어떻게 작동합니까? 이 문서에서는 기기가 무엇인지, 연결하는 방법, 사용하는 방법 등 기기에 대해 알아야 할 모든 정보를 제공합니다. 계속 읽어서 알아야 할 모든 정보를 찾아보세요. Arduino에서 SD란 무엇입니까? 아두이노 SD 카드 이미지 출처:Pixlr SD 카드 또는 마이크로 SD 카드는 두 가지 주요 구성 요소가 있는 장치입니다. 그리고 이러한
전통적인 제조 기술은 균일한 단면의 기본 모양을 효과적으로 생성할 수 있지만 속이 빈 내부 또는 복잡한 형상으로 부품을 생성하는 것은 고유한 과제를 안고 있습니다. 그러나 희생적인 도구를 사용하면 설계자와 엔지니어가 모양이나 재료 무결성을 타협할 필요가 없습니다. 희생 툴링은 용해성 재료에 구조를 인쇄한 다음 복잡한 구조를 만드는 데 사용됩니다. 이 기술을 통해 설계자와 엔지니어는 다양한 재료로 부드러운 내부를 사용하여 모든 종류의 언더컷이 있는 복잡하거나 속이 빈 구조를 쉽게 만들 수 있습니다. 희생 툴링은 일반적으로 높은 정확