VHDL
이전 튜토리얼에서 우리는 프로세스를 프로그램 스레드로 생각할 수 있다는 것을 배웠습니다. 또한 wait;
문으로 인해 프로그램이 무기한 일시 중지됩니다. 하지만 프로그램이 영원히가 아닌 다른 시간 값을 기다리게 하는 방법이 있습니까?
wait;
을 제거하면 프로그램을 컴파일하려고 하면 컴파일러는 무한 루프에 대해 불평할 것입니다. 컴파일러가 참조하는 루프는 프로세스 루프입니다. . VHDL의 프로세스 스레드는 절대 종료되지 않으며 begin
사이에서 계속 반복됩니다. 및 end process;
진술. wait
이 있어야 합니다. 프로세스 루프 내부 어딘가에 문.
이 블로그 게시물은 기본 VHDL 자습서 시리즈의 일부입니다.
wait;
동안 프로그램이 영원히 일시 중지되도록 합니다. wait for
문은 일정 시간 동안 프로그램을 지연하는 데 사용할 수 있습니다.
wait for
구문 문은 다음과 같습니다.wait for <time_value> <time_unit>;
여기서 <time_value>
숫자 및 <time_unit>
다음 시간 단위 중 하나입니다.
fs | 펨토초 |
ps | 피코초 |
ns | 나노초 |
우리 | 마이크로초 |
밀리초 | 밀리초 |
초 | 초 |
분 | 분 |
시간 | 시간 |
이 튜토리얼 비디오에서는 wait for
를 사용하는 방법을 보여줍니다. 주어진 시간 동안 프로세스를 일시 중지하는 명령문입니다.
이 튜토리얼에서 만든 코드:
entity T02_WaitForTb is end entity; architecture sim of T02_WaitForTb is begin process is begin -- This is the start of the process "thread" report "Peekaboo!"; wait for 10 ns; -- The process will loop back to the start from here end process; end architecture;
ModelSim에서 실행 버튼을 눌렀을 때 시뮬레이터 콘솔에 대한 출력:
VSIM 2> run # ** Note: Peekaboo! # Time: 0 ns Iteration: 0 Instance: /t02_waitfortb # ** Note: Peekaboo! # Time: 10 ns Iteration: 0 Instance: /t02_waitfortb # ** Note: Peekaboo! # Time: 20 ns Iteration: 0 Instance: /t02_waitfortb ...
이 예에서는 10 ns
을 사용했습니다. , 10 나노초를 의미합니다. MHz 클록 주파수에서 실행되는 디지털 로직으로 작업할 때 일반적으로 나노초 단위로 작업하게 됩니다.
시뮬레이터에서 코드를 실행했을 때 "Peekaboo!"가 인쇄되었습니다. 10ns마다 콘솔에. 시뮬레이션이므로 report
문은 0시간이 걸리며 루핑도 마찬가지입니다.
wait for
에서 일시 중지됩니다. 정확한 지정된 시간 동안wait
이외의 모든 명령문 명령문에는 시뮬레이션 시간이 필요하지 않습니다.다음 튜토리얼로 이동 »
VHDL
분석 시스템에서 시간 지연을 줄이기 위해 조절기를 사용하는 방법 Mike Strobel, 현장 엔지니어링 감독자 공정 측정은 즉각적이지만 분석기 응답은 절대 그렇지 않습니다. 탭에서 분석기에 이르기까지 항상 시간 지연이 있습니다. 불행히도 이러한 지연은 종종 과소평가되거나 오해됩니다. 분석 샘플링 시스템에서 시간 지연은 새 샘플이 분석기에 도달하는 데 걸리는 시간으로 정의됩니다. 별도의 블로그 기사에서는 시간 지연이 작동하는 방식과 이를 상위 수준에서 최소화하기 위한 팁을 설명하지만 이 기사에서는 압력 조절기로 시간 지연을
제조업을 위한 로봇을 선택하는 방법을 모르십니까? 많은 모델과 브랜드에 압도되었나요? 로봇이 시간을 낭비할까? 시장에는 수많은 산업용 로봇이 있으며 점점 더 많은 제품이 출시되고 있습니다. 매년 수십 개의 로봇 제조업체가 더 새롭고 더 나은 모델을 내놓고 있습니다. 완전히 새로운 범주의 산업용 로봇이 몇 년에 한 번씩 현장에 등장하기도 합니다. 모든 로봇을 검색할 시간이 없습니다! 그렇다면... 귀하의 필요에 맞는 것을 어떻게 찾습니까? 대부분의 제조업체와 마찬가지로 이미 시간이 부족하기 때문에 로봇을 고려하고 있을