VHDL
새로운 프로그래밍 언어를 배울 때 저는 항상 인쇄하는 방법을 배우는 것으로 시작하는 것을 좋아합니다. "Hello World!" 출력을 마스터하면 환경이 작동하고 있음을 알 수 있습니다. 또한 언어의 기본 골격, 출력을 생성하는 데 필요한 최소한의 코드도 보여줍니다.
라고 생각할 수도 있지만 VHDL은 하드웨어 설명 언어인데 어떻게 텍스트를 출력할 수 있습니까? FPGA에 연결된 화면이 필요하고 그 사이에 모든 종류의 로직이 필요하며 이는 전혀 간단하지 않습니다. 이 모든 것이 사실이지만 FPGA와 ASIC은 잠시 잊고 VHDL 언어에 집중합시다.
이 블로그 게시물은 기본 VHDL 자습서 시리즈의 일부입니다.
VHDL은 병렬 프로그래밍 언어로 생각할 수 있으므로 이 프로그래머의 접근 방식을 사용하여 학습할 수 있습니다. 우리는 언어를 사용하여 디지털 회로를 설명하기 때문에 컴퓨터에서 실행할 수 있는 유일한 방법은 시뮬레이터를 사용하는 것입니다. , 그리고 시뮬레이터는 확실히 "Hello World!"를 출력할 수 있습니다. 화면으로 이동합니다.
VHDL 시뮬레이터 및 편집기를 무료로 설치하는 방법
이 비디오 자습서에서는 첫 번째 VHDL 프로그램을 만드는 방법을 보여줍니다.
우리가 만든 최종 코드:
entity T01_HelloWorldTb is end entity; architecture sim of T01_HelloWorldTb is begin process is begin report "Hello World!"; wait; end process; end architecture;
ModelSim에서 실행 버튼을 눌렀을 때 시뮬레이터 콘솔에 대한 출력:
VSIM 2> run # ** Note: Hello World! # Time: 0 ns Iteration: 0 Instance: /t01_helloworld
처음 두 줄에서 entity를 선언했습니다. . 모듈의 엔터티는 입력과 출력을 선언합니다. 시뮬레이터에서 모듈을 실행할 수 있으려면 입력 또는 출력이 없어야 합니다. 따라서 우리 모듈에는 빈 엔티티 선언 외에는 아무것도 없습니다.
다음으로 아키텍처를 선언했습니다. 모듈의. 엔티티가 외부 세계에 대한 모듈의 인터페이스인 반면 아키텍처는 내부 구현입니다. 모듈에는 동일한 엔터티와 함께 사용할 수 있는 여러 아키텍처가 있을 수 있습니다. 고급 VHDL 기능이므로 이 시점에서 크게 걱정하지 않겠습니다.
아키텍처 내부에서 프로세스를 선언했습니다. . 현재로서는 프로세스를 프로그램의 스레드로 생각할 수 있습니다. 여기서 일이 순차적으로 발생합니다.
프로세스 내에서 "Hello World!"를 인쇄합니다. report
사용 예어. 다음 줄에는 단일 wait;
이 있습니다. . 시뮬레이터가 이 라인에 도달하면 더 이상 아무 일도 일어나지 않습니다. 프로세스는 여기서 영원히 기다립니다.
ModelSim에서 이 디자인을 시뮬레이션했을 때 "Hello World!" 콘솔 출력에 인쇄되었습니다. 그 후에는 아무 일도 일어나지 않았습니다.
report
명령문은 시뮬레이터 콘솔에 텍스트를 인쇄합니다.wait;
에서 영원히 기다립니다. 성명서다음 튜토리얼로 이동 »
VHDL
첫 번째 Java 프로그램을 만들려면 다음 2개의 소프트웨어가 필요합니다. 자바 SE 개발 키트 JDK를 다운로드하고 설치하려면 마지막 자습서를 참조하십시오. 텍스트 편집기 이 Java Hello World 예제에서는 메모장을 사용합니다. Windows 운영 체제에 포함된 간단한 편집기입니다. NotePad++와 같은 다른 텍스트 편집기를 사용하거나 온라인 자바 컴파일러를 사용할 수 있습니다. Hello World Java – 귀하의 첫 번째 Java 프로그램 비디오 이 비디오는 Java 프로그램을 시작하는 방법을
지난 튜토리얼에서는 Python 설치 및 설정을 완료했습니다. 이제 첫 번째 프로그램을 만들 시간입니다. 첫 번째 프로그램 만들기 1단계) PyCharm 편집기를 엽니다. PyCharm의 소개 화면을 볼 수 있습니다. 새 프로젝트를 만들려면 새 프로젝트 만들기를 클릭하십시오. 2단계) 위치를 선택해야 합니다. 프로젝트를 생성할 위치를 선택할 수 있습니다. 위치를 변경하고 싶지 않다면 그대로 두는 것보다 적어도 이름을 무제에서 FirstProject와 같이 더 의미 있는 이름으로 변경하세요. PyCharm이 이전에 설치