VHDL
프로시저는 서브프로그램이라고 하는 구조 그룹의 일부입니다. 프로시저는 코드 전체에서 재사용되는 작업을 수행하는 코드의 작은 섹션입니다. 이것은 코드를 정리하고 재사용을 허용하는 역할을 합니다.
프로시저는 입력을 받고 출력을 생성할 수 있습니다. 일반적으로 함수보다 복잡할 수 있습니다. 절차에 신호를 전달할 필요는 없습니다. 아래 예에는 표준 논리 벡터를 1씩 증가시키고 결과로 신호를 생성하는 것이 목적인 프로시저 p_INCREMENT_SLV가 있습니다.
wait 문 사용에 대한 추가 참고 사항:
프로시저를 호출하는 프로세스에 민감도 목록이 없는 한 대기 문을 프로시저에서 사용할 수 있습니다. 아래 예에서 절차에는 이를 보여주기 위한 1ns wait 문이 있습니다. 이것은 테스트벤치 코드 생성에 유용한 절차를 만듭니다.
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity example_procedure_simple is end example_procedure_simple; architecture behave of ex_procedure_simple is signal r_TEST : std_logic_vector(7 downto 0) := X"42"; -- Purpose: Increments a std_logic_vector by 1 procedure p_INCREMENT_SLV ( signal r_IN : in std_logic_vector(7 downto 0); signal r_OUT : out std_logic_vector(7 downto 0) ) is begin r_OUT <= std_logic_vector(unsigned(r_IN) + 1); wait for 1 ns; -- Wait is OK here. end p_INCREMENT_SLV; begin process is begin wait for 10 ns; p_INCREMENT_SLV(r_TEST, r_TEST); wait for 10 ns; p_INCREMENT_SLV(r_TEST, r_TEST); wait for 10 ns; p_INCREMENT_SLV(r_TEST, r_TEST); wait; end process; end behave;
VHDL
DC 회로 분석의 Norton 정리 Norton의 정리는 선형, 능동 회로 및 복잡한 네트워크를 간단한 등가 회로로 줄이는 Thevenin의 정리를 사용하는 것과 같이 전기 회로를 분석하는 또 다른 유용한 기술입니다. 브냉의 정리와 노턴의 정리의 주요 차이점 즉, Thevenin의 정리는 등가 전압 소스와 등가 직렬 저항을 제공하는 반면 Norton의 정리는 등가 전류 소스와 등가 병렬 저항을 제공합니다. Norton의 정리 즉, 모든 선형 회로는 특정 터미널의 실제 독립 전류 소스와 동일합니다. 관련 포스트:Thevenins
DC 회로 분석의 Thevenin 정리 프랑스 엔지니어, M.L Thevenin , 1893년에 이러한 비약적인 도약 중 하나를 이루었습니다. Thevenin의 정리 (헬름홀츠-테브냉 정리라고도 함) )는 그 자체로 분석 도구가 아니라 활성 회로와 복잡한 네트워크를 단순화하는 매우 유용한 방법의 기초입니다. 이 정리는 복잡한 선형 회로 및 네트워크, 특히 전기 회로 및 전자 네트워크를 빠르고 쉽게 푸는 데 유용합니다. 테브냉의 정리 다음과 같이 명시할 수 있습니다. VTH =브베냉의 전압 RTH =베냉의 저항 관련