산업 제조
산업용 사물 인터넷 | 산업자재 | 장비 유지 보수 및 수리 | 산업 프로그래밍 |
home  MfgRobots >> 산업 제조 >  >> Industrial programming >> verilog

베릴로그 헬로월드

매우 간단한 예를 사용하여 시작하는 것이 항상 가장 좋으며 "Hello World !" 이외의 용도에 가장 적합한 것은 없습니다.

  
  
// Single line comments start with double forward slash "//"
// Verilog code is always written inside modules, and each module represents a digital block with some functionality
module tb;

  // Initial block is another construct typically used to initialize signal nets and variables for simulation
	initial
		// Verilog supports displaying signal values to the screen so that designers can debug whats wrong with their circuit
		// For our purposes, we'll simply display "Hello World" 
		$display ("Hello World !");
endmodule

  

module 입력-출력 포트가 없는 tb라고 하는 것은 시뮬레이션의 최상위 모듈로 작동합니다. initial 블록은 시간 0 단위에서 첫 번째 명령문을 시작하고 실행합니다. $display 형식화된 문자열을 콘솔에 표시하는 데 사용되는 Verilog 시스템 작업이며 하드웨어로 합성할 수 없습니다. 주로 테스트벤치 및 설계 디버그를 지원하는 데 사용됩니다. 이 경우 화면에 표시되는 문자 메시지는 "Hello World!"입니다.

시뮬레이션 로그
ncsim> run
Hello World !
ncsim: *W,RNQUIE: Simulation is complete.


verilog

  1. C# Hello World - 첫 번째 C# 프로그램
  2. 자바 Hello World 프로그램
  3. Verilog 튜토리얼
  4. Verilog 연결
  5. Verilog 할당
  6. Verilog 차단 및 비 차단
  7. Verilog 기능
  8. Verilog 작업
  9. Verilog 클록 생성기
  10. Verilog 수학 함수