산업 제조
산업용 사물 인터넷 | 산업자재 | 장비 유지 보수 및 수리 | 산업 프로그래밍 |
home  MfgRobots >> 산업 제조 >  >> Industrial programming >> verilog

JK 플립플롭

디자인

  
  
module jk_ff ( input j,
               input k,
               input clk,
               output q);

   reg q;

   always @ (posedge clk)
      case ({j,k})
         2'b00 :  q <= q;
         2'b01 :  q <= 0;
         2'b10 :  q <= 1;
         2'b11 :  q <= ~q;
      endcase
endmodule

  

하드웨어 도식

<노스크립트>

테스트벤치

  
  
module tb_jk;
   reg j;
   reg k;
   reg clk;
   
   always #5 clk = ~clk;
   
   jk_ff    jk0 ( .j(j),
                  .k(k),
                  .clk(clk),
                  .q(q));

   initial begin
      j <= 0;
      k <= 0;
      
      #5 j <= 0;
         k <= 1;
      #20 j <= 1;
          k <= 0;
      #20 j <= 1;
          k <= 1;
      #20 $finish;
   end

   initial
      $monitor ("j=%0d k=%0d q=%0d", j, k, q);
endmodule	

  

verilog

  1. Verilog 소개
  2. Verilog 튜토리얼
  3. ASIC 설계 흐름
  4. 추상화 계층 설계
  5. Verilog 구문
  6. Verilog 데이터 유형
  7. Verilog T 플립플롭
  8. Verilog Mod-N 카운터
  9. Verilog 그레이 카운터
  10. 스위치 뒤집기:주파수 인버터가 사용되는 용도는 다음과 같습니다.